CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bcd counter

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. ctfysj

    0下载:
  2. 3-8译码器,BCD码转换10进制,计数器-3-8 decoder, 10 BCD switch 229, counter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8860
    • 提供者:Gem
  1. jk

    0下载:
  2. 寄存器所储存的数据的数据经由数据选择器进入,在进由译码电路将原来的BCD码转换成七段显示器的显示码,之后传送到七段显示器。请注意,四个七段显示器是轮流点亮而非一起点亮,其显示扫描的速度必须和数据选择器器同步,因此需要一个计数器来提供两者的扫描信号。事实上,显示器扫描信号和键盘扫描信号两者对扫描信号的速度要求相近,所以可以共享一组扫描信号。-stored in the register of data through the data selector entry, Progressive by
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1544
    • 提供者:谢斌
  1. lab8

    0下载:
  2. 此實驗中我們將量 測人的反應時間,由於人的反應時間遠比起內建CLOCK的週 期長的多,因此要對CLOCK做除頻的動作方可適用,並方便 於計數 器的計算與 七段顯示器的呈現。實驗內容為,當看到LED亮 起時,立 即做出反應將計數 器停 下,並顯示出當時計數 器之時間。計數 器以兩 位數 BCD counter來 實現並將結果 顯示於七段顯示器上。-Vo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:141811
    • 提供者:徐小華
  1. contadorbcd

    0下载:
  2. BCD Counter with FPGA for practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:267473
    • 提供者:augusto
  1. digital-frequency

    0下载:
  2. 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1265776
    • 提供者:multidecoder
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. VerilogCode_BCD_counter

    0下载:
  2. Verilog Code for a BCD counter and it is implemented on Altera DE2 board-Verilog Code for a BCD counter and it is implemented on Altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1421
    • 提供者:Rahul
  1. 03-jk-ff-BCDcounter

    0下载:
  2. JK-flip flup-BCD counter with proteus
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:14283
    • 提供者:Ali
  1. clock

    0下载:
  2. clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号;为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,se
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2054
    • 提供者:李小明
  1. at89c51-7SEGBCD-Schematics

    0下载:
  2. Schematics of 7SEG bcd counter 8051
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:16285
    • 提供者:SysTech
  1. 2.5

    0下载:
  2. 8位bcd码计数器带testbench工程,好用-8-bit bcd counter with testbench code works, easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:68874
    • 提供者:d
  1. db

    0下载:
  2. fulladder made by me I hope it works, the only thing I need from your database is the V74160.rar, the vhdl code for the 4 bit bcd counter with asynchronious reset.. please help me thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529198
    • 提供者:sarro
  1. counter

    0下载:
  2. This is 2-BCD numbers Counter on board Altera DE2 Code Verilog HDL (You must import DE2_pin_assignments.csv to use this code)
  3. 所属分类:LabView

    • 发布日期:2014-04-20
    • 文件大小:460800
    • 提供者:nitro
  1. eda

    0下载:
  2. 74LS283的4位BCD码加法器,用quartus2编译,有详细的电路图-74LS283 4-bit BCD counter
  3. 所属分类:Picture Viewer

    • 发布日期:2017-11-13
    • 文件大小:142303
    • 提供者:sai
  1. counter

    0下载:
  2. 设计一个十进制计数器模块,输入端口包括 reset、up_enable 和 clk,输出端口为 count 和 bcd,当 reset 有效时(低电平),bcd 和 count 输出清零,当 up_enable 有效时(高电 平),计数模块开始计数(clk 脉冲数),bcd 为计数输出,当计数为 9 时,count 输出一 个脉冲(一个 clk周期的高电平,时间上与“bcd=9”时对齐)-Design of a decimal counter module, input port,
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-11
    • 文件大小:517
    • 提供者:李天劲
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. excer

    0下载:
  2. bcd counter code with pdf file for help and better understanding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17084259
    • 提供者:muhammad adeem
  1. BCD.~(2).SCHDOC.Zip

    0下载:
  2. 这是二进制计数器的一部分程序,大家也可以在AD上面看。-This is part of binary counter procedures, we can also see AD above.
  3. 所属分类:Applications

    • 发布日期:2017-04-14
    • 文件大小:3118
    • 提供者:eg84492
  1. BCD-Counter

    0下载:
  2. Verilog Module for parity
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:24721
    • 提供者:Raz
« 1 23 4 »
搜珍网 www.dssz.com